LIBRARY IEEE;

LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
ENTITY h_adder IS
PORT(a,b: IN STD_LOGIC;
s,c: OUT STD_LOGIC);
END ENTITY h_adder;
ARCHITECTURE one OF h_adder IS
signal abc:std_logic_vector(1 downto 0);
BEGIN
abcs
其他人气:149 ℃时间:2020-06-06 06:16:48
优质解答
我觉得可能是你的双引号“出问题了,换到英文输入法输进去试试看.

如图:
可能你用了2个单引号? 现在解决了吗?不好意思,我几天没上线了。
我来回答
类似推荐
请使用1024x768 IE6.0或更高版本浏览器浏览本站点,以保证最佳阅读效果。本页提供作业小助手,一起搜作业以及作业好帮手最新版!
版权所有 CopyRight © 2012-2024 作业小助手 All Rights Reserved. 手机版