半加器的逻辑功能两个同位二进制数相加还是两个二进制数相加?

半加器的逻辑功能两个同位二进制数相加还是两个二进制数相加?
数学人气:328 ℃时间:2019-11-13 22:24:27
优质解答
半加器(英语:half adder)电路是指对两个输入数据位相加,输出一个结果位和进位,没有进位输入的加法器电路.是实现两个一位二进制数的加法运算电路.半加器是实现两个一位二进制码相加的电路,因此只能用于两个二进制...
我来回答
类似推荐
请使用1024x768 IE6.0或更高版本浏览器浏览本站点,以保证最佳阅读效果。本页提供作业小助手,一起搜作业以及作业好帮手最新版!
版权所有 CopyRight © 2012-2024 作业小助手 All Rights Reserved. 手机版