求补码一位乘(booth乘法 比较法)计算x=11011y=-11111求x*y的详细过程

求补码一位乘(booth乘法 比较法)计算x=11011y=-11111求x*y的详细过程
数学人气:138 ℃时间:2020-02-03 12:41:24
优质解答
[X]补=10101 [Y]补=100001 [-X]补=01011部分积 乘数 附加位 操作说明0 0 0 0 0 0 1 0 0 0 0 1 | 0 开始情形+0 0 1 0 1 1 判别位10,加[-X]补----------------0 0 1 0 1 1 0 0 0 1 0 1 1 1 0 0 0 0 | 1 右移部分积和乘...
我来回答
类似推荐
请使用1024x768 IE6.0或更高版本浏览器浏览本站点,以保证最佳阅读效果。本页提供作业小助手,一起搜作业以及作业好帮手最新版!
版权所有 CopyRight © 2012-2024 作业小助手 All Rights Reserved. 手机版