设计一个码检验电路,当输入的四位二进制数a、b、c、d为8421bcd码时,输出y为1,否则y为0.

设计一个码检验电路,当输入的四位二进制数a、b、c、d为8421bcd码时,输出y为1,否则y为0.
写出设计步骤,最好能说明一下思路和原理
数学人气:599 ℃时间:2019-10-02 09:01:53
优质解答
如果定义为 :“设计一个码检验电路,当输入的四位二进制数a、b、c、d为8421bcd码时,输出y为0,否则y为1.” 就很容易理解和实现.如下:8421bcd 的编码范围是 0000 0001 …… 1000 1001,你只需要检测出来是不是 以下六...
我来回答
类似推荐
请使用1024x768 IE6.0或更高版本浏览器浏览本站点,以保证最佳阅读效果。本页提供作业小助手,一起搜作业以及作业好帮手最新版!
版权所有 CopyRight © 2012-2024 作业小助手 All Rights Reserved. 手机版